Imec demonstrates 24nm pitch lines with single exposure EUV lithography

1 min read

imec, the research hub, and ASML, a manufacturer of semiconductor lithography equipment, have announced a breakthrough in printing narrow 24nm pitch lines, corresponding to the dimensions of critical back-end-of-line metal layers of a 3nm technology node process.

By combining advanced imaging schemes, using innovative resist materials and optimised settings on ASML’s NXE:3400B system in imec’s cleanroom, the system is capable of printing lines/spaces at 24nm pitch in a single exposure step.

This imaging performance will enable imec’s ecosystem of resist and patterning partners to utilise the NXE:3400B as a platform for early material development for future process nodes that will be enabled by ASML’s next-gen EUV system, which will first ship in 2022. The EXE:5000 will have a numerical aperture of 0.55, much higher than the 0.33 of current EUV systems like NXE:3400B.

Commenting Steven Scheer, VP Advanced Patterning Process and Materials at imec said, “The innovation in printing 24nm pitch line spaces will provide the imec patterning ecosystem with the opportunity to test resist materials and provide process capabilities. The development of sensitive and stable resist materials will support the introduction of ASML’s next-gen EXE:5000 system.”

The NXE:3400B allows illumination of the mask under high incident angles. Under standard illumination the EUV mask tends to distort the wafer image under these high incident angles – creating poor resist profiles. Through a fundamental understanding of EUV mask effects, obtained from a joint imec/ASML study, the teams have found a novel way to compensate for unwanted image distortion. In combination with an illumination optimisation, this enabled the teams to print a pitch as small as 24 nm in a single EUV exposure step with a minimum exposure dose of 34J/cm2.

ASML’s NXE:3400B was installed in imec’s 300mm cleanroom in Q2 2019 and is now an important part of imec’s R&D activities.

In addition, first 300 mm wafer high-NA lithography is anticipated this October using another key enabler, imec’s attosecond analytical and interference lithography lab. The AttoLab is critical to explore the molecular dynamics, at an attosecond scale, during exposure of photoresist to EUV ionizing radiation and, using interference lithography, it offers the first 300mm high-NA resist imaging capability to print features down to pitches of 8nm.

The AttoLab will improve the fundamental understanding of 0.55 NA resist imaging, and, complementary to the NXE:3400B, further supports the supplier ecosystem to accelerate the development of high-NA compatible materials before the introduction of ASML’s High NA EXE:5000.