Master of the Universe

7 mins read

This year’s Technology Symposium highlighted TSMC’s dominance of the semiconductor market and, considering its investment plans, that’s something that's likely to continue for some time.

Last month Taiwan Semiconductor Manufacturing Company (TSMC) used its 2021 Technology Symposium to unveil a range of new innovations in advanced logic technology, specialty technologies, advanced packaging and chip stacking technologies as well as confirming a number of significant new investments in manufacturing and research capacity around the world.

Among the new offerings were the N6RF for next-generation 5G smartphones, the N5A for state-of-the-art automotive applications and enhancements across its range of 3D Fabric technologies.

“Digitalisation is transforming society faster than ever as people use technology to overcome the barriers created by the global pandemic to connect, collaborate, and solve problems,” said Dr. C.C. Wei, CEO of TSMC in his speech opening the symposium and he concluded that this new world was full of, “Opportunities for the semiconductor industry.”

Founded in 1987, TSMC is the world’s largest contract chipmaker and pioneered the pure-play foundry business model. In 2020 it deployed 281 distinct process technologies and manufactured 11,600 products for over 500 customers. As a consequence, it’s currently Asia's most valuable manufacturing company with a market capitalisation in excess of $560 billion.

TSMC’s success has been built on developing and deploying cutting edge technologies and it was the first foundry to provide 5-nanometer production capabilities and is currently building a plant to make 3-nanometre chips, which are expected to be up to 70 per cent faster and more power-efficient than the most advanced chips that are currently available.

According to C.C. Wei the company’s 3-nanometer chip-making technology is on track and volume production at the company’s “Fab 18” factory in Tainan, Taiwan, is scheduled to start in the second half of 2022.

TSMC has been described as being at the ‘centre of the electronics universe’ and has announced a massive programme of investment in new cutting-edge technology and in manufacturing capabilities - not only in Taiwan, but in the US too.

In the US TSMC has started construction at a site in Arizona where it plans to spend $12 billion building a computer chip factory using the company's 5-nanometer production technology. Volume production is expected to start in 2024. There have also been reports that it is planning to build a further six factories at this site over a possible 10- to 15-year time period.

TSMC has also announced that it would set up a subsidiary in Japan to conduct research into new semiconductor materials.

In April the company confirmed a $100 billion investment plan over the next three years to increase capacity at its factories – which includes $30 billion in spending this year alone.

"That will give us enough manufacturing capacity to support the growth of our clients," said Wei.

New innovation unveiled

TSMC made a series of announcements that will be critical in supporting some of the key technologies that are driving the digital transformation Wei mentioned. These included the N4 enhancement to the company’s 5nm family driving further improvements to their performance, power efficiency and transistor density, as well as a reduction of mask layers and closer compatibility in design rules with N5.

TSMC said that the N4 development had ‘proceeded smoothly’ since its announcement at last year’s Technology Symposium, with risk production set for later this year.

TSMC also announced that it was introducing N5A, the newest member of the 5nm family. The N5A process is intended to meet the growing demand for computing power in newer and more intensive automotive applications such as AI-enabled driver assistance and the digitisation of vehicle cockpits.

TSMC’s head of global marketing Godfrey Cheng, said that, “Compared to TSMC’s N7 technology with the Automotive Service Package, N5A delivers a ~20% improvement in performance or a ~40% improvement in power efficiency and a ~80% improvement in logic density.”

According to Cheng, the N5A brings the same technology used in supercomputers to vehicles, and will be able to deliver the performance, power efficiency and logic density of N5 while meeting the stringent quality and reliability requirements of AEC-Q100 Grade 2 as well as other automotive safety and quality standards.

With its factory under development in Taiwan, TSMC’s N3 technology is set to push the envelope even further, providing the most advanced technology when it begins volume production next year.

Relying on the TSMC’s FinFET transistor architecture N3 will be able to offer up to a 15% speed gain or consume up to 30% less power than N5, and provide up to 70% logic density gain.

Turning to 5G TSMC’s Yujun Li, Director High Performance Computing Business Development, said, ”Smartphones are now an integral part of our lives and are helping to accelerate digital transformation and with 5G will enable many more applications. As a consequence, we are seeing very strong transistor growth in CPUs, GPUs and in newer AI accelerators.”

5G enabled chips are integrating more functionality and components and are growing in size.

“As a result they are in competition with the battery for the limited amount of space inside the smartphone,” said Li.

In response, TSMC unveiled the N6RF process which brings the benefits of the advanced N6 logic process to 5G radio frequency (RF) and WiFi 6/6e solutions.

According to Li, the N6RF transistors are able to achieve more than 16% higher performance over the prior generation of RF technology at 16nm and are able to support significant power and area reduction for 5G RF transceivers for both sub-6 gigahertz and millimeter wave spectrum bands, but do so without affecting either the device’s performance or battery life.

Jerry Tzou, Director, Advanced Packaging Business Development, announced that TSMC was continuing to expand its 3D Fabric family of 3D silicon stacking and advanced packaging technologies and was now offering larger reticle-size for both its InFO_oS and CoWoS packaging solutions, enabling larger floor plans for chiplet and high-bandwidth memory integration.

In addition, the chip-on-wafer (CoW) version of TSMC-SoIC will be qualified on N7-on-N7 this year with production targeted for 2022 at a new fully-automated factory.

For mobile applications, TSMC said that it was introducing its InFO_B solution, which has been designed to integrate a powerful mobile processor in a compact package supporting mobile device makers’ DRAM stacking on the package.

“These innovations in chip stacking technologies will enable customers to deliver next generation innovations and we’re expanding our capabilities to support growing customer volume needs,” said Tzou.

Market dominance

The scope of the announcements made, and the commitments to further investment, highlighted the dominance of TSMC in the semiconductor market. That dominance has, in turn, been brought into even sharper focus by the on-going chip shortage which has seen slowdowns and suspension of production around the world, affecting many different industries – notably the automotive sector.

Few companies are able to match TSMC in terms of its technology and manufacturing capabilities and those attempting to do so would be faced with prohibitive costs. Despite that, a number of governments, including the United States, the European Union, Japan and India are all said to be contemplating spending billions of dollars on new chip fabrication plants.

For some, there are concerns that current shortages could continue well into 2023 and that, in the longer term, semiconductor production in Asia may not always be calibrated to meet the needs of all customers.

As Victor Peng, Xilinx CEO, said to New Electronics last month, “Across the industry everyone is looking to build more capacity and are working closely and co-operating more with their customers, including those supplying packaging and substrates. But it remains a difficult trading environment and we will have to continue to handle limitations in terms of supply.”

That certainly applies to many industries and how they deal with TSMC and the broader semiconductor industry. Interviewed in the Financial Times, Ambrose Conroy, founder and chief executive of Seraph, a supply chain consultancy warned that semiconductor manufacturers were now the “giants” and that purchasing teams – even those in the automotive industry - were little more that the “ants”.

An observation which Joseph Notaro, VP of WW Automotive Strategy and Business Development at ON Semiconductor, tended to agree with. “The supply chain has turned into a really big story at the moment but when you look at the automotive supply chain, for example, it is not so much about market conditions but rather the way in which the market operates. “Lean manufacturing and just-in-time are central to the way the supply chain currently works and while that’s fine when it’s stable and predictable, it’s not so good with the level of market disruption we’ve been seeing.”

Looking beyond the current issues around the global supply chain the dominance of Taiwan, and TSMC in particular, has added another dynamic to the on-going rivalry between the US and China – not only in terms of their growing political, military and economic rivalry but also in terms technological leadership.

With more than two-thirds of advanced computing chips now manufactured in Taiwan there are worries that future tensions with China could have serious implications for manufacturers in the West with supplies curtailed or even cut-off.

TSMC’s semiconductors are designed and sold in products used by branded vendors such as Apple, AMD or Qualcomm and it controls more than half of the world market for made-to-order chips and that dominance is only likely to grow with the rolling out of new process nodes whether that’s 7nm, 5nm or 3nm.

Today TSMC accounts for around 90 per cent of the market for the most advanced nodes, so is world too dependent on this one company?

One analyst described the situation as dangerous, “the industry is incredibly dependent on TSMC and it is quite risky.”

The issue is around the cost of developing and manufacturing semiconductors. Twenty years ago there were over 20 foundries all at the cutting edge, today there’s only TSMC and with the enormous costs of development and the investment that’s required in new production capacity most foundries are now focused on design – leaving manufacturing almost entirely to TSMC. Even Samsung and Intel are struggling to keep up.

In fact Intel has outsourced production to TSMC as a result of production difficulties with its 10nm and 7nm technology nodes and has even faced calls from some investors that it should become ‘fabless’, a call that was rejected by the company’s CEO Pat Gelsinger. Despite that analysts say that upwards of 20 per cent of Intel’s CPU production is likely to be outsourced to TSMC in 2023, and TMSC is already investing around $10bn in capacity to meet that demand.

Investment is in the billions and has to be on-going if companies are to remain at the cutting-edge and critics of proposals to invest in new capacity around the world suggest that proponents of such a strategy fail to grasp the scale and costs involved.

TSMC’s commitment to huge capital investment plans suggest that it is determined to hold on to its lead. Much of the company’s projected capital expenditure will go into extreme ultraviolet (EUV) lithography machines - cutting-edge fabrication units – a move that will keep it well ahead of any potential competitor.

Currently, TSMC looks unassailable and looks set to remain very much at the centre of the semiconductor universe.