Versal HBM Series looks to tackle 'Big Data' compute challenges

2 mins read

Xilinx has introduced the Versal HBM adaptive compute acceleration platform (ACAP), the newest series in the company's Versal portfolio.

The Versal HBM series supports the convergence of fast memory, secure connectivity, and adaptable compute in a single platform. The Versal HBM ACAPs integrate HBM2e DRAM, providing 820GB/s of throughput and 32GB of capacity for 8X more memory bandwidth and 63% lower power than DDR5 implementations.

The Versal HBM series has also been architected to keep pace with the higher memory needs of compute intensive, memory bound applications such as those used in data centres, wired networking, test and measurement and aerospace and defence.

“Many real-time, high-performance applications are critically bottlenecked by memory bandwidth and operate at the edge of their power and thermal limits,” said Sumit Shah, senior director, Product Management and Marketing at Xilinx. “The Versal HBM series eliminates those bottlenecks to provide our customers with a solution that delivers significantly higher performance and reduced system power, latency, form factor, and total cost of ownership for data center and network operators.”

The Versal HBM devices incorporate power-optimised networking cores for high bandwidth, secure connectivity and the series offers 5.6Tb/s of serial bandwidth with 112Gb/s PAM4 transceivers, 2.4Tb/s of scalable Ethernet bandwidth, 1.2Tb/s of line rate encryption throughput, 600Gb/s of Interlaken connectivity, and 1.5Tb/s of PCIe Gen5 bandwidth with built-in DMA, supporting both CCIX and CXL.

This broad set of hardened IP provides off-the-shelf, multi-terabit networked connectivity for a breadth of protocols, data rates, and optical standards, enabling optimal power and performance and the fastest time to market.

As an adaptive, heterogeneous compute platform, the Versal HBM series has been engineered to accelerate a wide range of workloads with large data sets, integrating adaptable engines for low-latency hardware parallelism, DSP engines for AI inference and signal processing, and scalar engines for embedded compute, platform management, and secure boot and configuration.

Unlike fixed function accelerators, the Versal HBM series can dynamically reconfigure hardware in milliseconds to adapt with evolving algorithms and emerging protocols, eliminating the need for hardware redesign and re-deployment.

Versal HBM ACAPs are able to deliver both performance and power efficiencies for big data workloads including fraud detection, recommendation engines, database acceleration, data analytics, financial modeling, and deep learning inference for natural language processing (NLP). By improving runtimes by orders of magnitude over modern server-class CPUs, while supporting 4X larger data sets, users can deploy applications with massive, connected data sets with far fewer and lower cost servers.

Similarly, Versal HBM ACAPs can deliver network scalability and performance for 800G routers, switches, and security appliances. A traditional network processing unit (NPU) implementation of an 800G next-generation firewall would require multiple NPU devices and DDR modules, whereas a single Versal HBM ACAP eliminates external memories and performs packet processing, security processing, and adaptable AI-infused anomaly detection at dramatically lower power and at a fraction of the form factor.

According to Xilinx, the series delivers major CapEx and OpEx savings for cloud and network providers by enabling customers to use fewer devices and systems to implement their applications.