As interface speeds increase, will fpgas ‘see the light’ through optical interconnect?

4 mins read

The advent of fpgas with optical interfaces promises to simplify high speed interfacing between and within systems. But not all fpga vendors believe the optical enabled fpga's time has come, arguing that cost and reliability hurdles must be overcome before system vendors will embrace the technology.

Altera announced in March that it is developing fpgas with optical interfaces. Claiming it will detail its technology demonstrator later this year, Altera describes the advent of optically enabled fpgas as a 'turning point', driven by the speed-reach tradeoff of electrical interfaces, coupled with the rising cost of elaborate pcb materials needed for the highest speed interfaces. Interface speeds continue to rise, due to the relentless growth in data and internet traffic. The Interlaken interface has a channel rate of up to 6.375Gbit/s, the Gen 3.0 PCI-Express standard uses 8Gbit/s lanes and 16 Gigabit Fibre Channel standard operates at 14.1Gbit/s. New optical modules using the CFP2 form factor for 100Gbit, to be introduced in 2012, will use the four channel electrical interface. Increases in channel speed can be met using copper interfaces, though at the expense of reduced link distances. Craig Davis, senior product marketing engineer at Altera, cites the 10GBASE-KR 10Gbit/s backplane standard as an example of the bandwidth-reach fpgas can achieve: 40in, including the losses of the two connectors at each end. There is also development work on very short reach electrical interfaces at 28Gbit/s for line cards and electrical backplanes. "You are basically talking about 4 to 6in of trace to a CFP2 module or a chip to chip interface," said Panch Chandrasekaran, Xilinx' senior product marketing manager for high speed serial I/O. "Honestly, this is going to be a challenge, but we usually figure out a way how to do things." The faster the link, the more energy has to be put into the signals and the more losses you have on the board, said Davis. "Signal integrity aspects also get more difficult and the costs go up, as does the power consumption." According to Altera, signal losses more than triple when going from 10 to 30Gbit/s. To match losses at 10Gbit/s when operating at these higher speeds, more complex pcb materials, such as N4000-13 EP SI and Megtron 6, are needed, rather than the traditional FR4. However, the cost of designing and manufacturing such pcbs can rise fivefold. In contrast, optically enabled fpgas simplify pcb design. "For traditional chip to chip on a line card, optics does have a benefit, because you can trade off the number of layers on a pcb," said Davis. Such an optical based design also offers future proofing. "Many of the applications we will be looking to support are across backplanes and between shelves," said Davis. "An advantage of using optics is that you haven't got to throw your backplanes away as [interface] speeds increase." FPGAs with optical interfaces also promise new ways to design systems. Normally, line cards 'talk' to those on different shelves via a switch card on each shelf. Using an fpga with an optical interface, the cards can talk directly. "People are looking at this," said Davis. "You could take that to the extreme and go to the next cabinet, which makes a much easier system design." Altera also notes vendor interest in optical enabled fpgas for future storage systems. Here, interlinked disk drives require multiple connectors between boards. "There is an argument that it becomes a simpler system design when one fpga talks directly to another or one chip directly to another," says Davis "The more advanced R&D groups within certain companies are investigating the best route forward." But while fpga companies agree that optical interfaces will be needed, there is no consensus on timing. "Xilinx has been looking at this technology for a while now," said Chandrasekaran. "There is a reason why we haven't announced it: we have a little while to go before key ecosystem and technology questions are answered." The mechanical and reliability issues of systems are stringent and the optical option must prove that it can deliver what is needed, said Chandrasekaran. "It is possible to do at the moment, but the cost and reliability equation hasn't been fully solved." Xilinx also says that, while it is discussing the technology with customers, the requirement for such fpga based optical interfaces is some way off. "Our interactions with customers are primarily for products that are not going to see the light of day for several years," said Chandrasekaran. "Customers are always excited to hear about integration," said Gilles Garcia, director, wired communications business unit at Xilinx. But, ultimately, customers care less about the technology as long as the price, power and board real estate requirements are met. "[optical enabled fpga] technology is not answering the requirements our large customers [have] for their next generation systems," said Garcia FPGA vendor Tabula also questions the near term need for such technology. Alain Bismuth, vp of marketing, points out that nearly all the ports shipped today are at speeds of 10Gbit/s and less. Even in 2014, the number of 40Gbit/s ports forecast will only number 650,000, he said. For Bismuth, two things must happen before optically enabled fpgas become commonplace. "You must be able to build them in high volume, reliably and with good yields, without incurring higher costs than a discrete [fpga and optical module] solution," said Bismuth. "Second, interesting volumes of networks at 100G and beyond must emerge to justify the integration effort." For him, such networks are only emerging 'fairly slowly'. Meanwhile Altera's development work continues apace. "We are working with partners to develop the system and we will be demonstrating the optics on a chip in Q4," said Bob Blake, corporate and product marketing manager, Altera Europe. Altera said its packaged fpga and optical interface will support short reach links up to 100m over multimode fibre. While the technology will use a 10Gbit/s optical interface, Altera has detailed that its Stratix V fpga family supports electrical transceivers at 28Gbit/s. "The optical interface can go higher than [10Gbit/s], so we can target 28Gbit/s and beyond," says Davis. Optical component and transceiver firms, such as Avago, Finisar and Reflex Photonics, all have parallel optical devices – dubbed optical engines – that support up to 12 channels at 10Gbit/s. Avago's MicroPod 12x10Gbit/s optical engine measures 8x8mm, for example. None of the optical vendors would comment on being involved with Altera. Avago said it is working to ensure its optical modules work with fpga serdes blocks in line with Altera's announcement, while Finisar confirmed it is seeing leading vendors moving optics further onto the board and deeper within systems. Reflex Photonics said it has the technology and products to realise optically enabled ics. "We are working with more than one company to bring optically enabled ics to market," said Robert Coenen, vp, sales and marketing. "Due to their penetration into niche markets, fpgas make the most sense to create what will, ultimately, be a huge market in optically enabled ics."